Digitális VHDL szimuláció
A
VHDL (VHSIC (Very High Speed Integrated Circuits) Hardware Description
Language) egy az IEEE által elfogadott digitális áramkörök leírására
szolgáló nyelv, amelyet digitális áramamkörök tervezéséhez használnak.
A
TINA 7 és magasabb verziói rendelkeznek beépített VHDL fordítóval és
szimulátorral. A TINA-ban elkészített digitális áramkör a VHDL
szimuláció során automatikusan egy VHDL állománnyá konvertálódik. Az
áramkörben elhelyezhetőek VHDL makrók, amelyek tetszőlegesen
módosíthatóak. A VHDL nyelvnek az IEEE standard mellett a másik nagy
előnye, hogy később mint FPGA vagy CPLD elememként is realizálhatóak.
A TINA képes az áramkörből szintetizálható VHDL kód legenerálására, a megfelelő VHD/UCF állományok (T & M/VHD & UCF file generálás), valamint a letöltéshez szükséges bináris file legyártására, amelyeket Xilinx board-ra letölthetünk a Xilinx WebPACK segítségével.
Példa: a következő áramkörben lévő számláló VHDL nyelven lett megírva.
Az Analízis/Digitális VHDL szimuláció futtatása után a következő eredményt kapjuk:
Az áramkörben lévő VHDL makró tartalma megtekinthető, dupla klikk majd az Makró megnyitás választásával.
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; ---------------------------------------------------- ENTITY counter is port( clock: in std_logic; clear: in std_logic; QA, QB, QC, QD: out std_logic); END counter; ---------------------------------------------------- ARCHITECTURE behv of counter is
signal Pre_Q: unsigned( 3 downto 0 ); BEGIN -- behavioral description of the counter process(clock, clear) begin if clear = '1' then Pre_Q <= "0000"; elsif (clock='1' and clock'event) then
QA <= Pre_Q(0);
QB <= Pre_Q(1);
QC <= Pre_Q(2);
QD <= Pre_Q(3);
Pre_Q <= Pre_Q + 1;
end if;
end process; END behv;
A VHDL kód szabadon módosítható, az eredmény rögtön látható.
Módosítsuk a Pre_Q <= Pre_Q + 1; sort a következőre Pre_Q <= Pre_Q + 2; majd zárjuk be a dialógust
Az Analízis/Digitális VHDL szimuláció futtatása után a következő eredményt kapjuk
Az áramkört a TINA interaktív módjában is futtathatja.
|