Mixed mód szimuláció (SPICE-VHDL-MCU)

A TINA 8 és magasabb verziói tartalmaznak egy fejlett mixed mód analízis modult. Az algoritmus az XSPICE mixed módú ütemezőjén alapul, kiegészítve VHDL és MCU elemekkel.  Az áramkörökben digitális (VHDL, MCU elemek) is elhelyezhetőek az analóg elemek mellett. A TINA automatikusan elkészíti a kapcsolatokat a digitális és az analóg rész között, ez a fajta megközelítés biztosítja a szinkronizációt és a leggyorsabb konvergenciát.

Nézzünk néhány mixed módú példat:

Hullámforma generálás VHDL és SPICE elemek segítségével

A következő áramkör egy analóg színusz -vagy egy fűrészfog jelet generál az SW-MODE kapcsoló állásától függően.

A bal oldalon lévő Digital Wave VHDL makró egy táblázatot tartalmaz a színusz függvény számára illetve egy számlálót a fűrészfogjel számára. A VHDL kód lényegi része a kövekező:

   process(Reset, Clk)
   begin
        if (Reset = '1') then
               Wave <= (others => '0');
               LUT_index <= 0;
   elsif rising_edge(Clk) then
   if (Enable = '0') then
     Wave <= (others => '0');
   elsif (Sel = '0') then
     Wave <= Sine_LUT(LUT_index);
       else
         Wave <= conv_std_logic_vector(LUT_index,5);
       end if;

       if (LUT_index = LUT_index_max) then
         LUT_index <= 0;
       else
         LUT_index <= LUT_index + 1;
       end if;

   end if;
   end process;
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

A digitális kimenet egy 5 bites DA konverter segítségével alakul át analóg jellé (DA converter elem). 

A DA konverter analóg kimenetét egy aluláteresztő szűrővel meg kell szűrni, erre a célra egy Spice TL081-es opamp elemet használunk Salley-Key topológiában.

Az eredmény a következő ábrán látható, az SW-MODE kapcsolóval fűrészfog jelet állítottunk be.

Az eredmény, ha az SW-MODE kapcsolót Alacsony állapotba tesszük:

Az analóg szűrő eredményeinek vizsgálatához töröljük a d0-d4 görbéket a Del gomb segítségével.

MCU vezérelt SMPS áramkör

Az áramkörökbe analóg elemek mellett MCU (mikrokontroller) elemeket is elhelyezhetünk. A következő példa egy DC-to-DC konvertert valósít meg.  5V-ból 13V-t állít elő (boost mode).

Az eredménygörbék mutatják az MCU elem és az analóg elemek közötti kapcsolatot.

Egyszerűbb analóg és digitális elemeket tartalmazó mixed módú áramkör

mixed circuit

Mixed módú áramkör

 

Az eredmények egy diagramban vagy különálló diagramokban is ábrázolhatóak.

mixed circuit result

Mixed módú áramkör

 


 




Copyright © 2024 DesignSoft Kft. Minden jog fenntartva.