TINA -  Circuit Simulator for Analog, Digital, MCU & Mixed Circuit Simulation
Modo mezclado

Simulación en modo mixto (co simulación Spice - VHDL - MCU)

La versión 8 de TINA y superiores, incluyen un nuevo y poderoso motor de simulación de modo mixto. Se basa en el algoritmo de modo mixto XSPICE, ampliado con los componentes MCU y VHDL. En sus circuitos puede mezclar cualquier componente analógico o digital de TINA, incluido los microcontroladores (MCU) y los macros con Spice o el contenido de VHDL. Puede modificar estos componentes al vuelo (on the fly) junto con el código en los MCU. TINA analizará las partes analógicas en analógico, las partes digitales en digital y creará automáticamente las interfaces entre los componentes. Esto asegura sincronización y convergencia rápidas.

Exploremos algunos de los usos de este modo con algunos ejemplos.

Generación de forma de ondas con VHDL y con subcircuitos Spice

El siguiente ejemplo genera una señal seno analógico o de sierra dependiendo del estado del conmutador SW-MODE izquierdo.

El cuadro Onda digital (Digital Wave) de la izquierda del circuito incluye el código VHDL con una tabla de búsqueda (Sine_LUT) para la onda seno y también un contador para la señal de sierra. La parte esencial del código VHDL es la siguiente:

   process(Reset, Clk)
   begin
        if (Reset = '1') then
               Wave <= (others= > '0');
               LUT_index <= 0; br>   elsif rising_edge(Clk) then
   if (Enable = '0') then
     Wave <= (others= > '0');
   elsif (Sel = '0') then
     Wave <= Sine_LUT(LUT_index); br>       else
         Wave <= conv_std_logic_vector(LUT_index,5); br>       end if;

       if (LUT_index = LUT_index_max) then
         LUT_index <= 0; br>       else
         LUT_index <= LUT_index + 1; br>       end if;

   end if;
   end process;
   d0 <= Wave(0); d1=Wave(1); d2=Wave(2); d3=Wave(3); d4=Wave(4);

La salida digital del contador está convertida a una señal analógica en el convertidor DA de 5 bits de TINA que se muestra en el medio del circuito.

La salida de la onda seno DAC necesita ser limpiada con un filtro de paso bajo. Usaremos un modelo op-amp Spice del TL081 en una configuración de filtro de paso bajo Sallen y Key. Presione el botón Abrir Macro en el cuadro de diálogo de propiedades y TINA abrirá la macro. Puede revisar y, si fuera necesario, modificar el código Spice dentro de la macro.

A continuación podrá ver las formas de ondas finales del circuito completo, incluidas las cinco formas de ondas de salidas del contador. SW_MODE está en estado Alto, seleccionando la señal de sierra.

Si cambiamos el conmutador SW-MODE a Bajo (Low) y ejecutamos el análisis transitorio de nuevo, las formas de onda serán:

Para observar el efecto del filtro analógico, elimine las curvas d0 hasta d4 del diagrama haciendo clic en ellas y presionando la tecla Eliminar.

Circuito SMPS controlado por MCU

El simulador de modo mixto de TINA no solo permite los MCU, sino también cualquiera de las partes lineales o no lineales de la biblioteca de TINA. Por ejemplo, estudiemos el siguiente circuito, que realice un convertidor DC-DC, convirtiendo 5V DC a 13V DC y operando en modo Boost.

Las siguientes ondas demuestran cómo las partes análogas y el MCU interactúan en TINA.

Ejemplo de circuito mixto que consiste en componentes digitales y analógicos simples

circuito mixto

Circuito de modo mixto

 

Es posible visualizar los resultados en un diagrama o como diagramas separados que se muestran a continuación, añadiendo simplemente el signo ( : ) y un número a cada nombre de salida.

resultado del circuito mixto

Resultados del modo mixto





Copyright © 2024 DesignSoft, Inc. Todos los derechos reservados.